当前位置: 首页 > news >正文

你的安全设置不允许网站一个ip上绑多个网站

你的安全设置不允许网站,一个ip上绑多个网站,小程序开发公司排行榜,小说网站风格根据状态转移表实现时序电路 描述 某同步时序电路转换表如下,请使用D触发器和必要的逻辑门实现此同步时序电路,用Verilog语言描述。 电路的接口如下图所示。 输入描述: input A , input clk , …

根据状态转移表实现时序电路

描述

某同步时序电路转换表如下,请使用D触发器和必要的逻辑门实现此同步时序电路,用Verilog语言描述。

电路的接口如下图所示。

输入描述:

      input                A   ,
      input                clk ,
      input                rst_n

输出描述:

      output   wire        Y  

解题思路:

①首先根据题干中的状态转换表,给出次态Q_1^{n+1},Q_0^{n+1}和输出Y的卡诺图,如下所示:

表达式为Q_1^{n+1}=Q_1^{n+1}\oplus Q_0^n \oplus A,Q_0^{n+1}=\overset{-}{Q_0^n},其中:

输出方程Y=Q_0^nQ_1^n

由于题干中所使用的触发器为D触发器,根据D触发器的特征方程Q_1^{n+1} = D_1, Q_0^{n+1} = D_0,得到其状态方程;

因此,激励方程D_1=Q_1^{n+1}\oplus Q_0^n \oplus A,D_0=\overset{-}{Q_0^n};

代码:

下面给出两种代码:

①寄存器未单独例化
`timescale 1ns/1nsmodule seq_circuit(input                A   ,input                clk ,input                rst_n,output   wire        Y   
);reg Q0, Q1;
always @(posedge clk or negedge rst_n)beginif(!rst_n)Q0 <= 1'b0;elseQ0 <= ~Q0 ;
end always @(posedge clk or negedge rst_n)beginif(!rst_n)Q1 <= 1'b0;elseQ1 <= Q1 ^ Q0 ^ A;
endassign Y = Q1 & Q0;endmodule
②将寄存器单独例化
`timescale 1ns/1nsmodule seq_circuit(input                A   ,input                clk ,input                rst_n,output   wire        Y   
);//代码二
wire q0, q1, d0, d1;
//激励方程
assign d0 = ~q0;
assign d1 = A ^ q0 ^ q1;
//状态方程
DFF D0 (.d(d0), .clk(clk), .rst_n(rst_n), .q(q0));
DFF D1 (.d(d1), .clk(clk), .rst_n(rst_n), .q(q1));
//输出方程
assign Y = q0 & q1;endmodule//带复位端的D触发器
module DFF(input        d, input        clk, input        rst_n,output   reg q);always @(posedge clk or negedge rst_n) beginif (!rst_n) q<= 1'b0;else q<= d; end
endmodule

http://www.yayakq.cn/news/21578/

相关文章:

  • 如何做一个网站平台设计制作简单的手机网站
  • 菏泽网站建设电话咨询中国机械加工网站
  • 网站后台换图片网站开发的开发语言
  • 网站域名怎么设置方法网站里+动效是用什么做的
  • 网站的链接结构包括网上怎么做网站
  • 自己建设房源网站炫酷的移动端网站
  • 网站增长期怎么做本人承接网站建设
  • 小型网站建设需要多少钱wordpress 关闭头像
  • 网站对接如何做电子商务推广方式
  • python运维网站开发广州网站建设出名 乐云践新
  • 网站二级目录 修改路径北京首华建设经营有限公司网站
  • 做网站有哪些流程管理员网站
  • 网站设计的六个因素沈阳网站推广运营公司
  • 网站包装推广之网络营销案例一流的盐城网站开发
  • 正规网站建设公司wordpress 时间轴 主题
  • 4k高清视频素材网站网站建设备案需要材料
  • 网站开发质量控制计划重庆新闻发布会最新
  • 建设学院网站意义免费主页空间申请
  • 闵行网站建设公司纸应当首先满足
  • 网站开发需要考什么证好用的wordpress
  • 管理网站wordpress修改文章默认排序
  • 宁波网络建站公司有哪些徐州注册公司
  • 我做的网站怎么打开很慢安卓市场app下载安装
  • 外国优秀网站wordpress4.2.19 漏洞
  • 东莞樟木头网站设计布吉网站设计
  • 使用c 语言建设网站优点微信表情开放平台登录入口
  • 中国手工活加工官方网站手机百度关键词排名 网站优化软件
  • 淮南服装网站建设地址开发app多少钱费用
  • 建立手机也可浏览的网站教程网站怎么做跟踪链接
  • 网站建设术语解释上海网站制作公司多少钱