当前位置: 首页 > news >正文

网站界面设计原则备案中的网站名称

网站界面设计原则,备案中的网站名称,开源nodejs wordpress,深圳网站建设制作网络公司“ within”构造允许在一个序列中定义另一个序列。 seq1 within seq2 这表示seq1在seq2的开始到结束的范围内发生,且序列seq2的开始匹配点必须在seq1的开始匹配点之前发生,序列seq1的结束匹配点必须在seq2的结束匹配点之前结束。属性p32检查序列s32a在信号“ start”的上升沿和…

        “ within”构造允许在一个序列中定义另一个序列。

  seq1 within seq2

        这表示seq1在seq2的开始到结束的范围内发生,且序列seq2的开始匹配点必须在seq1的开始匹配点之前发生,序列seq1的结束匹配点必须在seq2的结束匹配点之前结束。属性p32检查序列s32a在信号“ start”的上升沿和下降沿之间发生。信号“ start”的上升和下降由序列s32b定义。

sequence s32b;@(posedge clk)$fell(start) ##[5:10] $rose(start);
endsequencesequence s32;@(posedge clk) s32 within s32b;
endsequenceproperty p32;@(posedge clk) $fell (start) |-> s32;
endpropertya32: assert property(p32);

        图1-34使用了与 throughout运算符用的例子相同的设计条件来显示属性p32在模拟中的响应。检验有两个有效的开始:一个在时钟周期3,另一个在时钟周期16。在这两个点,检测到信号“ start”的下降沿。

        成功1——从时钟周期3开始的检验成功了。信号“ start”的下降沿在时钟周期3,上升沿在时钟周期13。在这两个时钟周期间,信号“c”分别在时钟周期6,9,11被检测到三次高电平。因此检验成功。        
        未完成1—从时钟周期16开始的检验未能完成。信号“ start的下降沿在时钟周期16,上升沿在时钟周期21。在这两个时钟周期间,信号“c”分别在时钟周期18和20被检测到两次高电平信号“c”的第三次重复出现在时钟周期22,但是在时钟周期21检测到信号“ start”为高。这是一个失败,但是由于信号“c”使用的是跟随重复(“goto” repetition运算符,它按照阻塞序列的规则来执行。这使得检查失败并且在模拟中发出了一个未完成的信息。

http://www.yayakq.cn/news/453793/

相关文章:

  • 公司对网站排名如何做绩效宁夏网站建设
  • 做欧美贸易的主要有哪些网站如何做短视频自媒体赚钱
  • 游戏网站设计模板长春seo整站优化
  • 访问失效链接 如何删除 网站维护推广拉新任务的平台
  • 关于网站开发网站建设管理软件
  • 建网站的公司价格做网站的服务器怎么弄
  • 网站规划与维护wordpress is_home
  • 江西建设银行分行网站wordpress自定义字段筛选
  • 网站建设倒计时在线制作图片加闪光字
  • 帮人做图挣外快的网站wordpress端点设错自已进不去
  • 家具网站建设方案外包公司辞退有赔偿吗
  • 用家里的电脑做网站服务器搜索引擎关键词怎么选
  • ps做网站显示内容参考自助建站系统个人网站
  • 建网站专业郑州汉狮做网站的大公司
  • 上海企业建站流程网站编程培训机构
  • 中山网站上排名好看的手机网站推荐
  • 网站开发电脑内存要多少钱同步朋友圈到wordpress
  • 英文网站建设维护wordpress 变成英文版
  • 门户网站技术方案google在线网页代理
  • 高端网站建设,恩愉科技wordpress建站打不开二级页面
  • 初学网站开发上海工商网
  • 网站建设与维护期中试卷小说网站如何建设
  • 如何是网站排名上升毕节城乡建设局网站查询
  • 个人备案网站可以做支付吗重庆江北营销型网站建设公司推荐
  • 工厂网站怎么做移动网站开发实例
  • 网站开发用哪个框架宁波网站建设h5
  • 免费行情软件app网站mnw直4399游戏官网
  • ps做网站分辨率自适应网站做支付系统
  • 山西大同网站建设价格内网网站建设主流语言
  • 黄金外汇网站建设steam交易链接在哪看