当前位置: 首页 > news >正文

企业网站建设多钱晋中住房与城乡建设厅网站

企业网站建设多钱,晋中住房与城乡建设厅网站,网站如何运作,做的时间长的网站制作公司哪家好这里是一个完整的SystemVerilog测试框架示例,包括随机化测试和详细注释。 顶层模块 (Top Module) module top;// 信号声明logic clk;logic rst_n;// 接口实例化dut_if dut_if_inst(.clk(clk), .rst_n(rst_n));// DUT实例化 (假设DUT模块名为dut)dut u_dut(.clk(du…

这里是一个完整的SystemVerilog测试框架示例,包括随机化测试和详细注释。

顶层模块 (Top Module)

module top;// 信号声明logic clk;logic rst_n;// 接口实例化dut_if dut_if_inst(.clk(clk), .rst_n(rst_n));// DUT实例化 (假设DUT模块名为'dut')dut u_dut(.clk(dut_if_inst.clk),.rst_n(dut_if_inst.rst_n),.data_in(dut_if_inst.data_in),.data_out(dut_if_inst.data_out),.valid(dut_if_inst.valid),.ready(dut_if_inst.ready));// 时钟生成initial beginclk = 0;forever #5 clk = ~clk;end// 复位逻辑initial beginrst_n = 0;#20 rst_n = 1;end// 实例化并运行测试initial beginTest test_inst = new(dut_if_inst);test_inst.run();#1000 $finish; // 延长仿真时间以观察更多的随机事务end
endmodule

接口 (Interface)

interface dut_if(input logic clk, input logic rst_n);logic [31:0] data_in;logic [31:0] data_out;logic valid;logic ready;
endinterface

事务 (Transaction)

class Transaction;rand logic [31:0] data_in;rand bit valid;function new();endfunction// 添加约束:valid 只能为0或1,data_in 为32位任意值constraint valid_c { valid inside {0, 1}; }constraint data_in_c { data_in inside {[32'h0:32'hFFFFFFFF]}; }// 打印事务内容function void display();$display("Transaction: data_in=%h, valid=%0b", data_in, valid);endfunction
endclass

驱动器 (Driver)

class Driver;virtual dut_if vif;function new(virtual dut_if vif);this.vif = vif;endfunctiontask drive();Transaction tr;forever begin// 生成随机事务tr = new();if (!tr.randomize()) begin$display("Randomization failed!");endtr.display();// 发送事务到DUTvif.data_in <= tr.data_in;vif.valid <= tr.valid;if (tr.valid) beginwait(vif.ready);end@(posedge vif.clk); // 等待下一个时钟周期endendtask
endclass

监视器 (Monitor)

class Monitor;virtual dut_if vif;function new(virtual dut_if vif);this.vif = vif;endfunctiontask monitor();forever begin@(posedge vif.clk);if (vif.valid && vif.ready) begin$display("Data: %h", vif.data_out);endendendtask
endclass

代理 (Agent)

class Agent;virtual dut_if vif;Driver drv;Monitor mon;function new(virtual dut_if vif);this.vif = vif;drv = new(vif);mon = new(vif);endfunctiontask run();forkdrv.drive();mon.monitor();joinendtask
endclass

环境 (Environment)

class Env;virtual dut_if vif;Agent agent;function new(virtual dut_if vif);this.vif = vif;agent = new(vif);endfunctiontask run();agent.run();endtask
endclass

测试用例 (Test Case)

class Test;Env env;function new(virtual dut_if vif);env = new(vif);endfunctiontask run();env.run();endtask
endclass

这个完整的SystemVerilog测试框架包括顶层模块、接口、事务、驱动器、监视器、代理、环境和测试用例,并使用随机化功能生成输入信号。每个模块和类都有详细注释,解释其功能和作用。可以根据具体的DUT和测试需求对代码进行进一步的扩展和修改。

http://www.yayakq.cn/news/710210/

相关文章:

  • 营销型网站建设试卷品牌宣传片策划公司
  • 做网站的工作流程体育新闻最新消息文章
  • ppt模板免费下载完整版免费网站网站 设计公司 温州
  • 已经备案的网站新增ip怎么做合肥百度seo代理
  • 网站建设行规手机网站开发公司电话
  • 网站选项卡如何做自适应哪些网站做写字楼出租
  • 网站建设与管理出来工资有几个网站
  • 如何衡量网站的价值可视化网页在线编辑器
  • 电子商务是建网站广州十大网站建设
  • 网站建设推广加盟安徽网站建设信息
  • ssp网站怎么做wordpress php页面
  • wordpress如何才能自己登陆进入自己的网站 进行修改呢wordpress主题编写
  • 网站建设数据库设计湖北省建筑信息平台
  • 沈阳工程建设信息网站电气监理网站开发公司长春
  • 外贸网站建设内容包括建立自我追求无我是什么意思
  • 网站同时使用asp php网站建设收获
  • 甘肃网站建设专业定制网站建设费用包括哪些方面
  • 酷维网站模版杭州富阳网站建设
  • 中国住房城乡建设部网站首页百度联盟是什么
  • 免费申请做网站平台wordpress透明化插件
  • 单页面网站多少钱建筑公司有哪些
  • 扬州市建设局网站 竣工备案自己做卖假货网站
  • 做的王者荣耀钓鱼网站云购物网站建设
  • asp网站制作工具网站建设平台 三合一
  • 电子商务网站开发环境在线游戏网页版
  • 南宁企业网站设计公司discuz和wordpress
  • 怎么做网站文字图片设计建设网站
  • 天津建设厅 注册中心网站首页俐侎族网站建设背景
  • 企业展示网站 价钱购物网站有哪些平台
  • 青海公司网站建设哪家好seo云优化如何