当前位置: 首页 > news >正文

单页加盟网站模板网页制作及网站设计

单页加盟网站模板,网页制作及网站设计,汽车之家网页版电脑版,做网站的计划SVA提供了几个内建的函数来检查一些最常用的设计条件。 $onehot(expression)—检验表达式满足“one-hot”,换句话说,就是在任意给定的时钟沿,表达式只有一位为高。 $onehot0( expression)—检验表达式满足“ zero one-hot”,换句话说,就是在任意给定…

        SVA提供了几个内建的函数来检查一些最常用的设计条件。
        $onehot(expression)—检验表达式满足“one-hot”,换句话说,就是在任意给定的时钟沿,表达式只有一位为高。
        $onehot0( expression)—检验表达式满足“ zero one-hot”,换句话说,就是在任意给定的时钟沿,表达式只有一位为高或者没有任何位为高。
        $isunknown( expression)—检验表达式的任何位是否是Ⅹ或者Z。
        $countones( expression)—计算向量中为高的位的数量。
        
断言语句a33a检验向量“ state”是“one-hot”。断言语句a33b检验向量“ state”是“ zero one-hot”,断言语句a33c检验向量“bus”是否有任何位为X或Z。断言语句a33d检验向量“bus”中等于1的位的个数大于1。
 

module routines;logic clk;logic [3:0] state;
logic [4:0] bus;
integer i;initial $vcdpluson();initial
begin
clk = 1'b0; state = 4'd0; 
@(posedge clk);for(i=0; i<3; i++)
beginstate = i*2;bus = $random();@(posedge clk);
endfor(i=0; i<3; i++)
beginstate = $random() % 16;bus = 8'dz;@(posedge clk);
endbus = 4'd10;
repeat(2) @(posedge clk);$finish();
end// builtin system functionsa33a: assert property(@(posedge clk) $onehot(state));
a33b: assert property(@(posedge clk) $onehot0(state));
a33c: assert property(@(posedge clk) $isunknown(bus));
a33d: assert property(@(posedge clk) $countones(bus) > 1);initial forever clk = #25 ~ clk;endmodule

        图1-35显示了上述断言在模拟中的响应。表1-16总结了每个断言的状态和向量“ state”和“bus”的采样值。注意,断言a33a在时钟周期2失败,因为所有位都为零。“one-hot”要求在任何时钟上升沿都只有一位为高。另一方面,断言a33b成功因为它检查“ zero one-hot”,而对于这种构造,所有位都为零是合法的a33a和a3b都在时钟周期5,6,7,8失败,因为有超过一位为高。断言a33c在任何时候向量“bus”的值不为X或Z时失败。它在时钟周期5,6,7成功,因为向量的值为Z。断言a33d在时钟周期2,3,5,6,7失败,因为值为高的位的个数没超过1。断言a33d在时钟周期4,8成功,因为向量“bus”在这两个时刻都有两位为高。

http://www.yayakq.cn/news/302478/

相关文章:

  • 烟台做网站价格wordpress编辑器没了
  • 做的好的地方网站自己做百度网站
  • dw网站设计步骤php笑话网站源码
  • 广州站是广州火车站吗有哪些网站是响应式的
  • 美妆网站建设环境分析佛山html5网站建设
  • 网站建设费与无形资产上海网站开发技术最好公司
  • 青岛市做网站建设部网站资质升级陈述通过
  • 个人cms网站微信公众平台营销
  • 网站设计网站公司免费创建单页网站
  • 网站建设需要多少工种pc端网站开发
  • 邢台做网站推广的地方微信点餐小程序怎么制作
  • 贵州建设工程招标协会网站学网络营销有用吗
  • 网站悬浮图片代码gis网站开发教程
  • 一些私人网站网站招聘栏怎么做
  • 检查网站的死链接十大互联网装修平台排名
  • 南阳南阳新区网站建设网站建设方案 前台 后台
  • 电子政务门户网站建设汇报wordpress qq头像
  • 网站建设优化外包网站推广优化如何做
  • 怎样做天猫 淘宝网站导购南充市建设局官方网站
  • 网站被k的怎么办白云网站 建设信科网络
  • 图书馆网站建设好处网络架构要求包括
  • 网站开发技术部分网站开发 视频存储
  • 网站策划是做什么的做资讯网站
  • 注册个免费网站通辽网站建设
  • 河北手机网站制作企业网站购买域名之后再怎么做
  • 合肥网站建设百家号wordpress多搜索框
  • 怎么做死循环网站济南网站制作培训班
  • 怎样查网站空间地址网页界面设计代码
  • 嘉兴专业的嘉兴专业网站建设项目网站推广怎么做流量大
  • 上海网站建设方案咨询做视频网站该把视频文件传到哪