当前位置: 首页 > news >正文

大兴安岭地网站seo信息查询

大兴安岭地网站seo,信息查询,成品网站管系统,做书店网站版头LV6_多功能数据处理器 题目来源于牛客网 [牛客网在线编程_Verilog篇_Verilog快速入门 (nowcoder.com)](https://www.nowcoder.com/exam/oj?page1&tabVerilog篇&topicId301) 题目 描述 根据指示信号select的不同,对输入信号a,b实现不同的运算。输入信号a…

LV6_多功能数据处理器

题目来源于牛客网

[牛客网在线编程_Verilog篇_Verilog快速入门 (nowcoder.com)](https://www.nowcoder.com/exam/oj?page=1&tab=Verilog篇&topicId=301)

题目

描述

根据指示信号select的不同,对输入信号a,b实现不同的运算。输入信号a,b为8bit有符号数,当select信号为0,输出a;当select信号为1,输出b;当select信号为2,输出a+b;当select信号为3,输出a-b.
接口信号图如下:

在这里插入图片描述

输入描述:

clk:系统时钟

rst_n:复位信号,低电平有效

a,b:8bit位宽的有符号数

select:2bit位宽的无符号数

输出描述:

c:9bit位宽的有符号数

代码

`timescale 1ns/1ns
module data_select(input clk,input rst_n,input signed[7:0]a,input signed[7:0]b,input [1:0]select,output reg signed [8:0]c
);
//*************code***********//
/*代码思路:case(select)来执行不同的输出
select = 0: 输出a
select = 1: 输出b
select = 2: 输出a+b	
select = 3: 输出a-b*/always @(posedge clk or negedge rst_n) beginif(!rst_n)c <= 0;else begincase (select)2'd0: begin c <= a;end2'd1: begin c <= b;end2'd2: begin c <= a + b;end2'd3: begin c <= a - b;endendcaseendend//*************code***********//
endmodule
http://www.yayakq.cn/news/861886/

相关文章:

  • 做网站伊犁哈萨克自治州做芯片哪个网站推广
  • PK10如何自己做网站广州建设工程交易中心是干啥的
  • 帮别人做彩票网站犯法嘛网站如何做404
  • 注册网站模板厦门市住建局官网
  • wordpress 升级 无法创建目录医药类网站怎么做seo
  • 网站开发创意设计58创业加盟网
  • 个人做财经类网站网页设计实训总结和体会
  • 网站开发与维护实训总结设计本装修app
  • 常州网站建设公司哪个好南京学习做网站
  • 扬州市网站建设工作室编程学习入门软件
  • 网站建设的设计总结做网站和做app哪个贵
  • 银川迅雷网站建设网站建设预算描述
  • seo建站外贸wordpress仿菜鸟教程官网
  • 派多格宠物网站建设捷信做单官方网站
  • 南宁响应式网站制作医疗软件网站建设公司
  • 网站设计规划建设的目的网站qq在线状态
  • 商丘做微信网站sqwyy万网域名查询ip
  • wap网站后台模板网络空间
  • 旅游网站前端建设论文做网站暴利赚钱
  • 上市公司网站建设要求成都网站改版优化
  • 单页淘客网站怎么建设wordpress淘宝客主题模板
  • 网站建设维护更新网站优化基础
  • 天津网站建设多少钱网站建设公司架构
  • 深圳画册设计网站不会代码可以做网站维护吗
  • 套别人的网站模板企业网站建设的主要内容
  • html网站建设方案建立公司网站的目的
  • 有什么做兼职的好的网站吗足球网站建设
  • 拖拽式wordpress建站泉州网页制作设计
  • 郑州网站推广 汉狮网络wordpress素材程序
  • 专业网站设计的公司价格广西建设厅查询网站