当前位置: 首页 > news >正文

深圳p2p网站建设微信公众号开发用什么语言

深圳p2p网站建设,微信公众号开发用什么语言,wordpress安装权限管理,广西建设厅网站专家申请表目录 一、组合逻辑 二、多路选择器简介: 三、实战演练 摘要:本实验设计并实现了一个简单的多路选择器,文章后附工程代码 一、组合逻辑 组合逻辑是VerilogHDL设计中的一个重要组成部分。从电路本质上讲,组合逻辑电路的特点是输…

目录

一、组合逻辑

二、多路选择器简介:

三、实战演练


摘要:本实验设计并实现了一个简单的多路选择器,文章后附工程代码

一、组合逻辑

组合逻辑是VerilogHDL设计中的一个重要组成部分。从电路本质上讲,组合逻辑电路的特点是输出信号只是当前时刻输入信号的函数,与其他时刻的输入状态无关,无存储电路,也没有反馈电路。

二、多路选择器简介:

多路选择器是数据选择器的别称,在多路数据传送过程中,能够根据需要将其中任意一路选出来的电路,叫做数据选择器,也称多路选择器或多路开关。

三、实战演练

开发平台:Quartus Prime 18.1Standard Edition                 EP4CE10F17C8(征途PRO系列)

1、实验目标:设计并实现2选1多路选择器,主要功能是通过选通控制信号sel确定选通in_1路或in_2路作为信号输出。当选通控制信号S为1时,信号输出为in_1路信号;当选通控制信号sel为0时,信号输出为in_2信号

2、模块框图:

输入输出信号描述:

3、模块波形图:

4、实验代码

module mux2_1 (input           wire        in_1,input           wire        in_2,input           wire        sel ,
​output          reg         out
);
always @(*) begin//always @(in_1,in_2,sel) beginif(sel == 1'b1)out = in_1;elseout = in_2;
end
endmodule
`timescale 1ns/1ns
module tb_mux2_1 ();reg             in_1;reg             in_2;reg             sel ;wire            out ;       initial beginin_1 <= 1'b0;in_2 <= 1'b0;sel  <= 1'b0;
end
​
always #10  in_1 <= {$random} % 2;
always #10  in_2 <= {$random} % 2;
always #10  sel  <= {$random} % 2;
​mux2_1 mux2_1_inst(. in_1(in_1),. in_2(in_2),. sel (sel ),. out (out )
);
​
endmodule

5、仿真波形图:

7、引脚绑定

6、上板验证:略

7、项目工程

链接:https://pan.baidu.com/s/1WA_O13RfpUoZtocgRJuW0A?pwd=yykl 
提取码:yykl

四、总结

本实验设计并实现了一个简单的多路选择器,并完成了代码的仿真。

参考:[野火]FPGA Verilog开发实战指南

http://www.yayakq.cn/news/595417/

相关文章:

  • 海淀网站制作WordPress博客模板慢
  • 网站信息架构网站维护多久能好
  • 一建建设网站网络营销企业网站推广
  • 湖南系统建站怎么用手机网站开发报价单
  • 蓝色企业网站模板手机网站建设免费
  • 生鲜做的好的网站frontpage2003网页制作教程
  • wordpress导入img文件方法seo搜索引擎优化包邮
  • 建设主管部门门户网站乐器销售网站模板
  • 西安易码建站网站后台插件下载
  • 帮站seo万网有网站建设吗
  • 网站专业制作公司北京seo优化服务
  • 网站地址怎么做超链接河北网站开发价格
  • 江西营销网站建设网站建设的素材处理方式
  • 企业网站被转做非法用途苏州建站公司
  • 秦皇岛网站建设服务织梦dede网站后台被挂黑链怎么办
  • 中国营销网站大全爱在发烧 wordpress
  • 南京城乡建设局网站wordpress支持多少字
  • 资阳网站推广网站建设的课程设计
  • 免费企业网站认证wordpress随机播放器
  • 网站制作报价多少卖淘宝店铺平台有哪些
  • 福建省城市建设厅网站wordpress 安装主体
  • 织梦做中英文网站详细步骤wordpress底部版权备案
  • 盐城网站优化推广服务如何做网站大管家
  • 网站建设制作设计seo优化湖北为什么在百度搜不到我的网站
  • 网站工作室 需要什么手续建设网站教学
  • 织梦能不能做门户网站句容建设工程备案网站
  • 九江市建设工程质量监督站网站合肥商城网站建设多少钱
  • 开封做网站推广莱芜专注搜狗推广
  • 烟台专业网站建设电商网站维护
  • 源代码如何做网站网站的交互设计包括哪些