当前位置: 首页 > news >正文

太原网站的公司做网站建设的公司排名

太原网站的公司,做网站建设的公司排名,郑州网站seo公司,网页制作与网站建设实战大全 pdf下载零.前言 在学习FPGA课程时#xff0c;感觉学校机房电脑用起来不是很方便#xff0c;想着在自己电脑上下载一个Quartus II 来进行 基于 vhdl 语言的FPGA开发。原以为是一件很简单的事情#xff0c;没想到搜了全网文章发现几乎没有一个完整且详细的流程教学安装#xff08;也…零.前言 在学习FPGA课程时感觉学校机房电脑用起来不是很方便想着在自己电脑上下载一个Quartus II 来进行 基于 vhdl 语言的FPGA开发。原以为是一件很简单的事情没想到搜了全网文章发现几乎没有一个完整且详细的流程教学安装也可能是我没搜到,,ԾㅂԾ,,【视频b站上有搞完才发现T.T】因此想做一个纯小白式安装教程将网上分享的几位大佬关于安装部分的流程都总结到一文当中包括软件及软件配套仿真和芯片库的安装让大家花最少的时间完成安装。相关文章链接在文末。 多图预警 一.Quartus安装 1.首先需要先去百度网盘下载相关资料 下载链接百度网盘 请输入提取码 提取码qomk 2.下载的是压缩包解压后可以看到13个文件 先打开QuartusSetup-13.1.0.162.exe文件开始安装。 3.安装流程 1打开后点击next 2选择第一个accept再点击next 3选择文件夹可以自定义安装的位置尽量建立一个新的文件夹路径不包括中文和特殊符号来存放不然很多子文件夹可能会填的很乱....,选择好后点击next 4除了最后一个不勾选外其他全选默认情况当然也可以根据自己的芯片包需要更改Devices里面的内容然后Next (5)Summary确保有足够的安装空间确认后点击Next 6这里就开始自动安装了需要等待一段时间 如果你之前安装过quartus却没有删除干净的话它可能会问你是不是要建立quartuscopy最好还是先把之前的卸载完全再重装卸载教程在后面 7完成安装后直接点击Finish最后一个feedback不用框选 4.破解流程 164位系统解压x64破解器文件打开破解器.exe文件 选择quartus安装目录下/bin64下的sys_cpt.dll文件打开后点击破解器的下一步一定要关闭软件先完成破解1后再点完成进行gcl_afcq.dll的破解 打开软件会提示30日试用选择最后一项选择 再用记事本打开license.dat文件将三个HOSTID的值改为NIC的任一值(我这里选择了第一个,默认XXXXX)更改完成后点击文件-保存 在option中选择更改后的license.dat的地址显示Version到2035即说明成功破解点击OK即可打开软件 二.Modelsim-altera安装 1.选择ModelSimSetup-13.1.0.162.exe运行开始安装 2.安装过程 1点击next 2选择第一个安装next 3选择accept然后next (4)单击文件夹可以更改安装位置选择完成后next 5确认空间充足后next 6等待安装完成 7不用点框选直接Finish 三.ModelSim安装 1.版本选择32位系统选择上面win3264位系统选择下面win64,这里我以64位的安装为例 2.解压缩文件双击运行win64-10.4-se.exe文件 3.安装流程 1直接单击next 2单击Browse可以更改安装位置单击next 3直接点击Agree (4)等待安装完成 550%时候会跳两个选型全选YES 6最后跳出的窗口选择NO禁止自动更新 7最后Done完成安装 4.破解过程 1直接打开/win64/modelsim.exe会提示无注册软件会自动关闭 2修改win64文件夹中mgls64.dll的属性取消勾选只读 (3)打开crack文件夹,将里面的MentorKG.exe和patch_dll.bat一起拷贝到modelsim安装目录里的win64/win32看第一步选择了哪个系统下 4先确认关闭了杀毒软件到之前win64文件夹中运行patch_dll.bat,会自动打开cmd窗口生成license文件,直接将其另存为win64文件夹当中 默认存放在C:\Users\user\AppData\Local\Temp 5右键我的电脑点击属性在系统界面中先点击高级系统设置再点击环境变量 6点击新建系统变量设置环境变量名MGLS_LICENSE_FILE变量值为license放置的路径最后确定 7返回后在系统属性界面再点击确定 8重新打开modelsim se-64成功运行说明破解成功 四.Quartus和Modelsim的配置 1.通过软件快捷方式或者开始界面搜索打开quartus ii 2. 1打开Tools-option界面选择EDA Tool Options点击ModelSim和ModelSim-Altera的...选择文件 2ModelSim中选择win64文件夹ModelSim-Altera中选择win32aloem文件夹,最后点击OK 3. 1配置compiler,Tools-Launch Simulaton Library Compiler 2Executable location选择Modelsim的win64文件夹,Output directory选择output_files(可以换其他文件夹)点击Start 3编译成功显示全绿有warning可以不管 4.新建一个vhdl文件用来测试复制测试代码进去保存文件名为div与entity同名 测试代码6分频 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all;entity div isport(clk : in std_logic;rst : in std_logic; clkout :out std_logic); end div;architecture rtl of div isconstant n : integer range 0 to 10 : 6; --这里的n可以是任意值当然要大于1. signal clk_p : std_logic; signal clk_n : std_logic;signal cnt_p : integer range 0 to n; signal cnt_n : integer range 0 to n;begin process(clk_p, clk_n)beginif((n mod 2) 0)thenclkout clk_p;elseclkout clk_p or clk_n;end if;end process;process(clk, rst)beginif(rst 0) thencnt_p 0;elsif(clkevent and clk 1) thenif(cnt_p n-1) thencnt_p 0;elsecnt_p cnt_p 1;end if;end if;end process;process(clk, rst)beginif(rst 0) thenclk_p 0;elsif(clkevent and clk 1)thenif (cnt_p (n/2)) thenclk_p 1;elseclk_p 0;end if ;end if;end process; process(clk, rst)beginif(rst 0) thencnt_n 0;elsif(clkevent and clk 0)thenif(cnt_n n-1) thencnt_n 0;elsecnt_n cnt_n 1;end if;end if;end process;process(clk, rst)beginif(rst 0) thenclk_n 0;elsif(clkevent and clk 0)thenif (cnt_n (n/2)) thenclk_n 1;elseclk_n 0;end if ;end if;end process; end rtl; 5.保存后会跳出是否建立新工程选择YES进入工程建立指引界面 6.新建工程 1写入工程名选择工程保存文件夹建议新建空的不含中文文件夹 2完成后不加别的文件只有div.vhd点击next来到芯片选择界面 3我这里的芯片选择是EP4CE6E22C8先选择Famliy才会出现对应的devices完成后next 4Simulation选择vhdl的ModelSim然后可以直接点击Finish点Next的话是会显示Summary 5在File-New-University Program VWF,最后点击OK新建波形仿真文件VWF 7.波形仿真 1右键左侧空白区域打开Insert Node or Bus 2选择Node Finder 3List相关引脚点击进行全部选择移动最后OK完成,返回后OK完成引脚插入 4接下来开始设置模拟引脚输入频率同时将rst引脚拉高 5选择YES保存更改待仿真结束即可得到结果说明前面配置全部完成 五.遇到的问题 1. ** Error: (vdel-42) Unsupported ModelSim library format for F:/FPGA_ FILE/FSM/simulation/modelsim/rtl _work. (Format: 4 ) . ** Warning: (vdel-57) Library F:/FPGA_ FILE/FSM/simulation/modelsim/rtl_ work is missing or is not a valid ModelSim library. ** Error: D:/altera/13.0/modelsim_ ase/win32aloem/vdel failed. modelsim-altera软件附带了预编译的仿真库如果使用modelsim-altera软件则不会编译仿真库错误中文翻译 删除当前工程的simultaion文件夹重新仿真即可 2. The ModelSim-Altera software comes packaged with precompiled simulation libraries Executable location改为ModelSim的而不是modelsim-altera 3. Failed to access library cycloneive_ver at cycloneive_ver EDA tools里面配置可能有误可以试下加\,或者重配置 六.卸载 Modelsim是和开始界面的快捷方式放一起的右键快捷方式打开文件所在位置即可找到运行uninstall 即可卸载 quartus ii 卸载也是在开始的文件快捷方式当中不过在文件外 七.参考文章 http://t.csdnimg.cn/fXEOe http://t.csdnimg.cn/tIPDj http://t.csdnimg.cn/2qorA http://t.csdnimg.cn/WshhK http://t.csdnimg.cn/6KWA5 http://t.csdnimg.cn/i22f4
http://www.yayakq.cn/news/5651/

相关文章:

  • 网站建设 电脑 手机关键词优化费用
  • 加强网站功能建设桂林两江四湖图片
  • 建立手机个人网站域名可以做网站名吗
  • 自助免费建站创新型的福州网站建设
  • 网站建网站建设如何建设局域网内部网站
  • 做网站的基本要求网站采用哪种开发语言
  • 如何做一个与博物馆相关网站北京今天又出现一例
  • 烟台城乡建设住建局网站杭州seo优化公司
  • 网站栏目 英文企业门户网站建设情况
  • 网站做下载word北京网站制作工具
  • 昆明网站搭建公司网站怎么做下载功能
  • 模板建站是什么意思建站资源免费
  • php网站开发指导教材 文献腾冲市住房和城乡建设局网站
  • 有哪些网站能够免费找到素材wordpress文档内容页
  • 深圳平湖网站建设公司中国最大型网站
  • 怎么用网网站模板做网站百度网址大全怎么设为主页
  • 建设网站的价值引流推广平台有哪些
  • 在静安正规的设计公司网站免费网站模板下载
  • 如何提高你的网站的粘性网络营销模式下品牌推广研究论文
  • 接外包网站沈阳大型网站建设
  • 网站设计常用软件ios个人开发者账号
  • 众创空间文化建设网站酒店建设网站的优势有哪些
  • 网站做nat映射需要哪些端口公司企业邮箱怎么开通注册
  • 网站怎样制作合肥城建
  • 网站不备案可以登录吗网店运营与推广
  • 企业做推广哪些网站比较好淮南 网站建设 有限公司
  • 网站建设宣传ppt模板下载技能培训机构
  • 阿里云 上传wordpress江苏搜索引擎优化
  • 做企业公司网站二手书网站开发
  • 色彩网站设计师公众号开发者权限哪里添加