当前位置: 首页 > news >正文

西安模板网站建设网站建设用模板好吗

西安模板网站建设,网站建设用模板好吗,wordpress从零开始,中国最新消息今天专栏前言 本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网 分析 注意题目要求输入信号为有符号数,另外输出信号可能是输入信号的和,所以需要拓展一位,防止溢出。 timescale 1ns/1ns module data_…
专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

分析

注意题目要求输入信号为有符号数,另外输出信号可能是输入信号的和,所以需要拓展一位,防止溢出。 

`timescale 1ns/1ns
module data_select(input clk,input rst_n,input signed[7:0]a,input signed[7:0]b,input [1:0]select,output reg signed [8:0]c
);always @ (posedge clk or negedge rst_n) begin if (~rst_n) c <= 9'b0 ; else begin case(select) 2'b00 : c <= a ; 2'b01 : c <= b ; 2'b10 : c <= a + b ; 2'b11 : c <= a - b ; default : c <= 9'b0 ; endcase end end 
endmodule

 

http://www.yayakq.cn/news/420932/

相关文章:

  • 怎么在后台设置网站的关键词做垂直网站
  • 自己做的网站怎么传到空间啊竣工备案证网上怎么查
  • 网站建设需要的图片怎么弄网站不被收录了
  • 万网注册域名做简单网站做社区生意的网站
  • 高端网站建设哪个好阳泉移动网站建设
  • 做网站推广 seo的做网站与做软件
  • 企业网站建设需求调查网站备案 查询
  • 单页简洁手机网站模板网站推广常用的方法
  • 做网站 工商 非法经营灌南县建设局网站
  • 广西营销型网站建设互联网招商
  • 亳州网站建设推广网络营销策划方案内容
  • 网站域名 英文网站图标 代码
  • 网站制作成品北京工装设计公司排名
  • 北京营销网站建站公司选服务好的网站建设
  • 伴奏在线制作网站上海优秀网站设计
  • 许昌网站设计制作广州做英文网站的公司
  • 用dw制作做网站需要钱吗建设集团网站的作用
  • 搭建一个服务器买域名做网站明年做哪个网站能致富
  • 企业站网页制作实训步骤微网站 前景
  • 电子商务网站的推广方法网站访问量有什么用
  • 这么做输入文字的网站大气网站背景
  • 怎样做论坛网站网页设计与制作课程设计方案
  • 沅江网站设计公司如何做微信商城网站
  • 北京网站建设有哪些公司女生学前端还是后端
  • 代理ip访问网站wordpress 添加字体
  • 网站添加微信分享代码岳阳手机网站建设
  • 小型企业网站建设公司山东东营信息网
  • 网站不备案什么意思dw网页制作教程完整版
  • 支付宝签约网站宁波网站推广工作室电话
  • 做电子政务 网站海外红人营销推广